Makefile 886 B

12345678910111213141516171819
  1. #***************************************************************************************
  2. # Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
  3. # Copyright (c) 2020-2021 Peng Cheng Laboratory
  4. #
  5. # XiangShan is licensed under Mulan PSL v2.
  6. # You can use this software according to the terms and conditions of the Mulan PSL v2.
  7. # You may obtain a copy of Mulan PSL v2 at:
  8. # http://license.coscl.org.cn/MulanPSL2
  9. #
  10. # THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
  11. # EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
  12. # MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
  13. #
  14. # See the Mulan PSL v2 for more details.
  15. #***************************************************************************************
  16. build/verilator-readmemh: split-readmemh.c
  17. mkdir -p $(@D)
  18. gcc -O2 -Wall -Werror -o $@ $<