Makefile 6.9 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239
  1. #***************************************************************************************
  2. # Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
  3. # Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences
  4. # Copyright (c) 2020-2021 Peng Cheng Laboratory
  5. #
  6. # XiangShan is licensed under Mulan PSL v2.
  7. # You can use this software according to the terms and conditions of the Mulan PSL v2.
  8. # You may obtain a copy of Mulan PSL v2 at:
  9. # http://license.coscl.org.cn/MulanPSL2
  10. #
  11. # THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
  12. # EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
  13. # MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
  14. #
  15. # See the Mulan PSL v2 for more details.
  16. #***************************************************************************************
  17. BUILD_DIR = ./build
  18. RTL_DIR = $(BUILD_DIR)/rtl
  19. TOP = $(XSTOP_PREFIX)XSTop
  20. SIM_TOP = SimTop
  21. FPGATOP = top.TopMain
  22. SIMTOP = top.SimTop
  23. RTL_SUFFIX ?= sv
  24. TOP_V = $(RTL_DIR)/$(TOP).$(RTL_SUFFIX)
  25. SIM_TOP_V = $(RTL_DIR)/$(SIM_TOP).$(RTL_SUFFIX)
  26. SCALA_FILE = $(shell find ./src/main/scala -name '*.scala')
  27. TEST_FILE = $(shell find ./src/test/scala -name '*.scala')
  28. MEM_GEN = ./scripts/vlsi_mem_gen
  29. MEM_GEN_SEP = ./scripts/gen_sep_mem.sh
  30. CONFIG ?= DefaultConfig
  31. NUM_CORES ?= 1
  32. ISSUE ?= E.b
  33. SUPPORT_CHI_ISSUE = B E.b
  34. ifeq ($(findstring $(ISSUE), $(SUPPORT_CHI_ISSUE)),)
  35. $(error "Unsupported CHI issue: $(ISSUE)")
  36. endif
  37. ifneq ($(shell echo "$(MAKECMDGOALS)" | grep ' '),)
  38. $(error At most one target can be specified)
  39. endif
  40. ifeq ($(MAKECMDGOALS),)
  41. GOALS = verilog
  42. else
  43. GOALS = $(MAKECMDGOALS)
  44. endif
  45. # common chisel args
  46. FPGA_MEM_ARGS = --firtool-opt "--repl-seq-mem --repl-seq-mem-file=$(TOP).$(RTL_SUFFIX).conf"
  47. SIM_MEM_ARGS = --firtool-opt "--repl-seq-mem --repl-seq-mem-file=$(SIM_TOP).$(RTL_SUFFIX).conf"
  48. MFC_ARGS = --dump-fir --target systemverilog --split-verilog \
  49. --firtool-opt "-O=release --disable-annotation-unknown --lowering-options=explicitBitcast,disallowLocalVariables,disallowPortDeclSharing,locationInfoStyle=none"
  50. RELEASE_ARGS += $(MFC_ARGS)
  51. DEBUG_ARGS += $(MFC_ARGS)
  52. PLDM_ARGS += $(MFC_ARGS)
  53. ifneq ($(XSTOP_PREFIX),)
  54. RELEASE_ARGS += --xstop-prefix $(XSTOP_PREFIX)
  55. DEBUG_ARGS += --xstop-prefix $(XSTOP_PREFIX)
  56. PLDM_ARGS += --xstop-prefix $(XSTOP_PREFIX)
  57. endif
  58. ifeq ($(IMSIC_USE_TL),1)
  59. RELEASE_ARGS += --imsic-use-tl
  60. DEBUG_ARGS += --imsic-use-tl
  61. PLDM_ARGS += --imsic-use-tl
  62. endif
  63. # co-simulation with DRAMsim3
  64. ifeq ($(WITH_DRAMSIM3),1)
  65. ifndef DRAMSIM3_HOME
  66. $(error DRAMSIM3_HOME is not set)
  67. endif
  68. override SIM_ARGS += --with-dramsim3
  69. endif
  70. # run emu with chisel-db
  71. ifeq ($(WITH_CHISELDB),1)
  72. override SIM_ARGS += --with-chiseldb
  73. endif
  74. # run emu with chisel-db
  75. ifeq ($(WITH_ROLLINGDB),1)
  76. override SIM_ARGS += --with-rollingdb
  77. endif
  78. # enable ResetGen
  79. ifeq ($(WITH_RESETGEN),1)
  80. override SIM_ARGS += --reset-gen
  81. endif
  82. # run with disable all perf
  83. ifeq ($(DISABLE_PERF),1)
  84. override SIM_ARGS += --disable-perf
  85. endif
  86. # run with disable all db
  87. ifeq ($(DISABLE_ALWAYSDB),1)
  88. override SIM_ARGS += --disable-alwaysdb
  89. endif
  90. # dynamic switch CONSTANTIN
  91. ifeq ($(WITH_CONSTANTIN),1)
  92. override SIM_ARGS += --with-constantin
  93. endif
  94. # emu for the release version
  95. RELEASE_ARGS += --fpga-platform --disable-all --remove-assert --reset-gen --firtool-opt --ignore-read-enable-mem
  96. DEBUG_ARGS += --enable-difftest
  97. PLDM_ARGS += --fpga-platform --enable-difftest
  98. ifeq ($(RELEASE),1)
  99. override SIM_ARGS += $(RELEASE_ARGS)
  100. else ifeq ($(PLDM),1)
  101. override SIM_ARGS += $(PLDM_ARGS)
  102. else
  103. override SIM_ARGS += $(DEBUG_ARGS)
  104. endif
  105. TIMELOG = $(BUILD_DIR)/time.log
  106. TIME_CMD = time -avp -o $(TIMELOG)
  107. ifeq ($(PLDM),1)
  108. SED_IFNDEF = `ifndef SYNTHESIS // src/main/scala/device/RocketDebugWrapper.scala
  109. SED_ENDIF = `endif // not def SYNTHESIS
  110. endif
  111. .DEFAULT_GOAL = verilog
  112. help:
  113. mill -i xiangshan.runMain $(FPGATOP) --help
  114. version:
  115. mill -i xiangshan.runMain $(FPGATOP) --version
  116. jar:
  117. mill -i xiangshan.assembly
  118. test-jar:
  119. mill -i xiangshan.test.assembly
  120. $(TOP_V): $(SCALA_FILE)
  121. mkdir -p $(@D)
  122. $(TIME_CMD) mill -i xiangshan.runMain $(FPGATOP) \
  123. --target-dir $(@D) --config $(CONFIG) --issue $(ISSUE) $(FPGA_MEM_ARGS) \
  124. --num-cores $(NUM_CORES) $(RELEASE_ARGS)
  125. $(MEM_GEN_SEP) "$(MEM_GEN)" "$@.conf" "$(@D)"
  126. @git log -n 1 >> .__head__
  127. @git diff >> .__diff__
  128. @sed -i 's/^/\/\// ' .__head__
  129. @sed -i 's/^/\/\//' .__diff__
  130. @cat .__head__ .__diff__ $@ > .__out__
  131. @mv .__out__ $@
  132. @rm .__head__ .__diff__
  133. verilog: $(TOP_V)
  134. $(SIM_TOP_V): $(SCALA_FILE) $(TEST_FILE)
  135. mkdir -p $(@D)
  136. @echo -e "\n[mill] Generating Verilog files..." > $(TIMELOG)
  137. @date -R | tee -a $(TIMELOG)
  138. $(TIME_CMD) mill -i xiangshan.test.runMain $(SIMTOP) \
  139. --target-dir $(@D) --config $(CONFIG) --issue $(ISSUE) $(SIM_MEM_ARGS) \
  140. --num-cores $(NUM_CORES) $(SIM_ARGS) --full-stacktrace
  141. $(MEM_GEN_SEP) "$(MEM_GEN)" "$@.conf" "$(@D)"
  142. @git log -n 1 >> .__head__
  143. @git diff >> .__diff__
  144. @sed -i 's/^/\/\// ' .__head__
  145. @sed -i 's/^/\/\//' .__diff__
  146. @cat .__head__ .__diff__ $@ > .__out__
  147. @mv .__out__ $@
  148. @rm .__head__ .__diff__
  149. ifeq ($(PLDM),1)
  150. sed -i -e 's/$$fatal/$$finish/g' $(RTL_DIR)/*.$(RTL_SUFFIX)
  151. sed -i -e '/sed/! { \|$(SED_IFNDEF)|, \|$(SED_ENDIF)| { \|$(SED_IFNDEF)|d; \|$(SED_ENDIF)|d; } }' $(RTL_DIR)/*.$(RTL_SUFFIX)
  152. else
  153. ifeq ($(ENABLE_XPROP),1)
  154. sed -i -e "s/\$$fatal/assert(1\'b0)/g" $(RTL_DIR)/*.$(RTL_SUFFIX)
  155. else
  156. sed -i -e 's/$$fatal/xs_assert_v2(`__FILE__, `__LINE__)/g' $(RTL_DIR)/*.$(RTL_SUFFIX)
  157. endif
  158. endif
  159. sed -i -e "s/\$$error(/\$$fwrite(32\'h80000002, /g" $(RTL_DIR)/*.$(RTL_SUFFIX)
  160. sim-verilog: $(SIM_TOP_V)
  161. clean:
  162. $(MAKE) -C ./difftest clean
  163. rm -rf $(BUILD_DIR)
  164. init:
  165. git submodule update --init
  166. cd rocket-chip && git submodule update --init cde hardfloat
  167. bump:
  168. git submodule foreach "git fetch origin&&git checkout master&&git reset --hard origin/master"
  169. bsp:
  170. mill -i mill.bsp.BSP/install
  171. idea:
  172. mill -i mill.idea.GenIdea/idea
  173. # verilator simulation
  174. emu: sim-verilog
  175. $(MAKE) -C ./difftest emu SIM_TOP=SimTop DESIGN_DIR=$(NOOP_HOME) NUM_CORES=$(NUM_CORES) RTL_SUFFIX=$(RTL_SUFFIX)
  176. emu-run: emu
  177. $(MAKE) -C ./difftest emu-run SIM_TOP=SimTop DESIGN_DIR=$(NOOP_HOME) NUM_CORES=$(NUM_CORES) RTL_SUFFIX=$(RTL_SUFFIX)
  178. # vcs simulation
  179. simv: sim-verilog
  180. $(MAKE) -C ./difftest simv SIM_TOP=SimTop DESIGN_DIR=$(NOOP_HOME) NUM_CORES=$(NUM_CORES) RTL_SUFFIX=$(RTL_SUFFIX)
  181. simv-run:
  182. $(MAKE) -C ./difftest simv-run SIM_TOP=SimTop DESIGN_DIR=$(NOOP_HOME) NUM_CORES=$(NUM_CORES) RTL_SUFFIX=$(RTL_SUFFIX)
  183. # palladium simulation
  184. pldm-build: sim-verilog
  185. $(MAKE) -C ./difftest pldm-build SIM_TOP=SimTop DESIGN_DIR=$(NOOP_HOME) NUM_CORES=$(NUM_CORES) RTL_SUFFIX=$(RTL_SUFFIX)
  186. pldm-run:
  187. $(MAKE) -C ./difftest pldm-run SIM_TOP=SimTop DESIGN_DIR=$(NOOP_HOME) NUM_CORES=$(NUM_CORES) RTL_SUFFIX=$(RTL_SUFFIX)
  188. pldm-debug:
  189. $(MAKE) -C ./difftest pldm-debug SIM_TOP=SimTop DESIGN_DIR=$(NOOP_HOME) NUM_CORES=$(NUM_CORES) RTL_SUFFIX=$(RTL_SUFFIX)
  190. include Makefile.test
  191. include src/main/scala/device/standalone/standalone_device.mk
  192. .PHONY: verilog sim-verilog emu clean help init bump bsp $(REF_SO)